SLGP Header

Test Pattern Generation for Jump Bit Insertion in Scan Diagnosis

IJEECC Front Page

Abstract:
Scan chain failures accounts for about 30% of chip failures. Scan chain diagnosis is complex because of limited observability. A single scan chain consists of large number of flip-flops (scan cells). Scan chain diagnosis approaches identify candidate cells. All the methods developed so far attempts to reduce the range of candidate cells, by identifying an upper bound and a lower bound. In this paper we propose a method to identify the candidate cell by bit insertion and simulation technique. The effectiveness of the approach is verified by experiments on ISCAS’89 benchmark circuits.
Keywords:Testing, diagnosis,scan architecture, flush test, capture cycle.

References:

  1. SubhadipKundu, SatanuChattopadhyay, IndranilSengupta, and RohitKapur, “Aggressive Scan Chain Masking For Improved Diagnosis Of Multiple Scan Chain Failure,” 18th IEEE European Test Symposium, 2013.
  2. MuralidharanVenkatasubramanian, Vishwani D. Agrawal, “Quest for a Quantum Search Algorithm for TestingStuck-at Faults in Digital Circuits,” IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), Amherst, MA, Oct. 12-14, 2015.
  3. SubhadipKundu,SantanuChattopadhyay,IndranilSengupta, and RohitKapur, “Scan Chain Masking For Diagnosis Of Multiple Chain Failure In A Space Compaction Environment”, IEEE Transactions On Very Large Scale Integrated (VLSI) Syst.,Vol.23,No.7,Pp.1185-1195,July 2015.
  4. Jing Ye, Yu Huang, Yu Hu, Wu-Tung Cheng, RuifengGuo, Liyang Lai, Ting-Pu Tai, Xiaowei Li, WeipinChangchien, Daw-Ming Lee, Ji-Jan Chen, Sandeep C. Eruvathi, Kartik K. Kumara, Charles Liu, and Sam Pan, “Diagnosis and Layout Aware (DLA) Scan Chain Stitching,” IEEE Transactions On Very Large Scale Integration (VLSI) Systems, 2014.
  5. Yu Huang, RuifengGuo, Wu-Tung Cheng, “Survey of Scan Chain Diagnosis,” IEEE Design & Test of Computers, 2008.
  6. RuifengGuo, and SrikanthVenkataraman, “An Algorithmic Technique For Diagnosis Of Faulty Scan Chains”, IEEE Trans. On Computer Aided Design Of Integrated Circuits And Systems, Volume 25, No. 9, Pp 1861-1868, September 2006.
  7. RuifengGuo and SrikanthVenkataraman, “A technique for Fault of Defects in Scan Chains”, IEEE International Test Conference, 2001.
  8. Xun Tang ,“DiagnosisOf VLSI circuit defects: defects in scan chain and circuit logic”, An AbstractOf a thesis submitted in partial fulfillment of the requirements for the Doctor of Philosophy degree in Electrical and Computer Engineering in the Graduate College of The University of Iowa, December 2010.
  9. J. C. M. Li, “Diagnosis of Single Stuck At Faults and Multiple Timing Faults in Scan Chain”, IEEE Trans. On VLSI Systems, Vol. 13, No. 6, June, 2005, Pp.708-718.
  10. Helen-Maria Dounavi and YiorgosTsiatouhas, “Stuck at Fault Diagnosis in Scan Chains,” 9thInernational Conference on Design and Technology of Integrated Systems in NanoscaleEra(DTIS), 2014.
  11. Samantha Edirisooriya, and GeetaniEdirisooriya, “Diagnosis of Scan Path Failure,” IEEE, 1995.
  12. Kevin Stanley, “High Accuracy Flush and Scan Software Diagnostic,” IEEE Design and Test of Computers, 2001.
  13. Kaushik De, and ArunGunda, “Failure Analysis for Full Scan Circuit,” IEEE International Test Conference, 1995.
  14. YuHuang, Wu-Tung Cheng, RuifengGuo, “Diagnose Multiple Stuck At Scan Chain Faults,” IEEE, 2008.
  15. SrikanthVenkataraman, IsmedHartanto, and W. Kent Funchs, “Dynamic Diagnosis Of Sequential Circuit Based On Stuck At Faults,” IEEE 14th VLSI Test Symposium, 1996.
  16. Sunghoon Chun, Yongjoon Kim, Taejin Kim, and Sungho Kang, “An Efficient Scan Chain Diagnostic Method Using A New Symbolic Simulation,” 26th IEEE VLSI Test Symposium, 2008.
  17. RuifengGuo, Yu Huang, and Wu-Tung Cheng, “Fault Dictionary Based Scan Chain Failure Diagnosis,” 16th IEEE Asian Test Symposium, 2007.